목록전체 글 (85)
Jun Station 준스테이션
원래는 기본 키워드를 메모하려고 했는데... Keyboard Shortcuts 에서 내가 직접 바꿀 수가 있네? 원문)https://thisisablog.tistory.com/47 VS Code 탭 넘기기 단축키 바꾸기VS Code는 alt+tab을 누르면 다음 탭이 아닌 이전 탭으로 바뀌는 것이 기본 설정이다. 바꿔보쟝 1. Ctrl+Shift+P 누르고 'Preferences: Open Keyboard Shortcuts' 열기 2. 'workbench.action.nextEditor' 검색 후 Keybinding 부부thisisablog.tistory.com 키워드뜻비고ctrl + tab다음 탭 넘기기(workbench.action.nextEditor)직접 설정함ctrl + shift + tab이전 ..
Bash 셸(Bash shell)은 유닉스 계열 운영 체제에서 널리 사용되는 명령어 해석기(인터프리터)입니다. Bash는 "Bourne Again SHell"의 약자로, 원래의 Bourne 셸(sh)을 대체하기 위해 개발되었습니다. GNU 프로젝트의 일환으로 만들어졌으며, 리눅스와 macOS를 포함한 다양한 유닉스 계열 시스템에서 기본 셸로 사용됩니다.Bash 셸의 주요 기능과 특징은 다음과 같습니다:명령어 해석: 사용자가 입력한 명령어를 해석하고 실행합니다.스크립트 작성: 반복적인 작업을 자동화하기 위해 셸 스크립트를 작성할 수 있습니다.변수 사용: 변수와 환경 변수를 사용하여 데이터를 저장하고 관리할 수 있습니다.제어 구조: 조건문(if, case)과 반복문(for, while, until)을 사용하..
top -bn1 | grep "Cpu(s)" | awk '{printf("CPU 사용률 : %.1f%%\n", 100 - $8)}' top -bn1 | grep "Cpu(s)" | awk '{printf("CPU idle : %.1f%%\n", $8)}' top -bn1 | grep Cpu 참고 사이트:https://www.infracody.com/2023/09/3-ways-to-check-linux-cpu-usage.html 리눅스 CPU 사용률 확인하는 3가지 방법리눅스에서 CPU 사용률을 확인하는 다양한 방법에 대해 알아보고, 쉽게 사용할 수 있습니다. top, vmstat, mpstat 명령어를 사용하여 CPU 사용률을 확인하는 방법에 대해 자세히 설명하고 실습 예제 명www.infracody...
보호되어 있는 글입니다.
단축키 뜻 비고
Generate 이란 참고사이트: https://dreamsailor.tistory.com/25 [Verilog 문법] Generate문을 통한 반복 작업 줄이기 엔지니어라면, 누구나 한 번쯤 해봤을 법한 생각이 있다. 입력 spec을 넣어주면 자동으로 H/W를 뱉어주는 마법 같은 시스템 상상 속에서만 존재하는 이야기라고 생각할지도 모르지만, 이를 위한 dreamsailor.tistory.com https://verilog-hdl-design.tistory.com/entry/generate-and-for-loop generate와 반복문 이번 포스트는 generate와 반복문을 다룬다. Verilog를 쓰다보면 1000개쯤 되는 reg 변수들을 초기화한다고 하는 반복적인 상황에 처할 때가 있다. 전체 코..
WaveDrom 홈페이지: https://wavedrom.com/ WaveDrom - Digital timing diagram everywhere WaveDrom Digital Timing Diagram everywhere WaveDrom draws your Timing Diagram or Waveform from simple textual description. It comes with description language, rendering engine and the editor. WaveDrom editor works in the browser or can be installed on your wavedrom.com Online WaveDrom Editor 홈페이지: https://wavedrom...
compiler directive (컴파일러 지시어)는 Verilog HDL 모델의 해석을 제어하기 위한 명령어/키워드 accent grave 문자 (`)로 시작 모듈이나 Verilog의 소스 파일에 국한되지(bound) 않는다. 컴파일러 지시어를 툴이 만날 때 그 지시어는 다른 지시어에 의하여 수정되거나 제거될 때까지 유효하게 살아 있다. 대표적인 키워드: 1. `timescale timescale 10ns / 1ms [time 단위] / [time 분해능] 2. `include file을 포함시킬 때 사용, 기능은 C언어와 동일 3. `define 4. `default_nettype `default_nettype none 연결되어 있지 않은 wire를 찾는 데 도와줌 5. `resetall 모든..
참고사이트: https://csj000714.tistory.com/433 [CMake] Makefile 및 CMake의 필요성 정리 본 문서는 '빌드를 위한 스크립트 파일인 Makefile 에 대한 정보'를 정리한 문서입니다. CMake와 같이 Makefile을 자동으로 만들어주는 빌드 툴로 인해 Makefile 자체를 직접 다룰 일이 줄어들었으나, 기 csj000714.tistory.com
Testbench에서 delay 의미 말고, design에서 "#"은 파라미터화된 모듈 인스턴스를 나타냄. 파라미터화된 모듈은 모듈 정의 시에 파라미터 값을 받아들일 수 있는 모듈을 뜻함. 이렇게 정의된 모듈은 다양한 파라미터 값을 가지는 여러 개의 인스턴스를 생성할 수 있습니다. 예시: module MyModule #(parameter WIDTH = 8) (input [WIDTH-1:0]data); // 모듈 내용 endmodule 이 모듈은 WIDTH라는 파라미터를 가지며, 입력 포트로 WIDTH에 따라 크기가 다른 data 신호를 받습니다. 이제 이 모듈을 인스턴스화하고 파라미터 값을 지정해보겠습니다: MyModule #(16) instance1 (.data(input_data1)); MyModul..